FPGA电子系统设计项目实战pdf下载pdf下载

FPGA电子系统设计项目实战百度网盘pdf下载

作者:
简介:本篇主要提供FPGA电子系统设计项目实战pdf下载
出版社:清华大学出版社
出版时间:2017-07
pdf下载价格:0.00¥

免费下载


书籍下载


内容介绍

内容简介

本书系统地论述了FPGA的设计方法,并给出了大量综合电子系统设计项目实例。全书共11章。第1章介绍FPGA电子系统的设计方法; 第2章介绍QuartusⅡ使用方法; 第3~7章介绍FPGA硬件描述语言VHDL的特点、VHDL语言中常用的数据、运算符、顺序描述语句和并行描述语句、时钟信号描述、有限状态机等基本概念和应用; 第8章介绍门电路、组合逻辑电路、触发器、时序逻辑电路(与阎石主编的《数字电子技术基础》(第4版)一致),并对其中的各种功能芯片以及基于VHDL与FPGA的实现方法进行了讲解; 第9章介绍FPGA外围电路——集成运算放大器及其各种应用; 第10章和第11章给出了基于FPGA的综合电子系统设计实例。
本书可作为高等院校电类专业学生学习VHDL及FPGA的实践指导书,也可供有关工程技术人员参考使用。

精彩书摘

  一般来说,结构体中的所有处理语句都是并行处理语句,那么结构体中是否存在顺序语句呢?答案是肯定的。VHDL程序的结构体中既存在并行语句又存在顺序语句。并行语句用来描述一组并发行为,它是并发执行的,与程序的书写顺序无关; 顺序语句是一个接在另一个之后严格按照顺序执行的语句,与程序的书写顺序有关。
  5.1进程语句
  进程语句包含在结构体中,一个结构体可以有多个进程语句,多个进程语句间是并行的,并可访问结构体或实体中定义的信号。因此进程语句称为并行描述语句。
  进程语句结构内部所有语句都是顺序执行的。
  进程语句的启动是由process后敏感信号表中所标明的敏感信号来触发进程。
  各进程之间的通信是由信号传递的。其语法如下:
  标记: process(敏感信号表)
  变量说明语句;
  begin
  一组顺序语句;
  end process 标记;
  其中,标记为进程语句标号; 敏感信号表是进程语句要读取的所有敏感信号(包括端口信号)的列表。变量说明语句主要包括变量的数据类型说明、子程序说明等。从begin开始到end process结束,是一组顺序执行语句。
  下面重点讨论关于进程语句书写结构中的敏感信号表的问题。
  1. 进程语句的敏感信号表
  所谓敏感信号表,顾名思义,就是用来存放敏感信号的列表。在进程语句中,敏感信号是指那些值发生改变后能够引起进程语句执行的信号。一般来说,敏感信号表中可以使用一个或是多个信号,每当其中的一个或是多个信号值改变时,就在进程内部引起语句执行。敏感信号表列出了进程语句对其敏感的所有信号,所以进程语句最好有一个敏感信号表。当然,敏感信号表部分可以省略,但此时进程语句中要有其他形式的敏感信号激励。
  这里需要注意的是: 当进程语句中没有敏感信号表时,进程语句中必须有其他形式的敏感信号激励; 而当进程中有敏感信号表时,进程语句中不允许再显式出现wait、wait for、wait until和wait on中的任何一种敏感信号激励语句,否则编译时将会给出错误信息。
  程序5.1描述的是一个简单的D触发器,结构体中只有一个进程语句,敏感信号表列出了时钟信号clk,输入信号d。
  【程序5.1】
  library ieee;
  use ieee.std_logic_1164.all;
  entity dff1 is
  port(clk,d:in std_logic;
  q:out std_logic);
  end dff1;
  architecture rtl of dff1 is
  begin
  p1:process(clk,d)
  begin
  if(clk'event and clk='1')then
  q=d;
  end if;
  end process p1;
  end rtl;
  在程序5.1中,进程语句标号p1,以process(clk,d)开始,接着是进程语句中的一组顺序处理语句,用来描述d触发器的功能,当时钟上升沿到时,将输入信号d赋值给输出信号q,最后该进程语句以end process p1结束。可以看出,p1进程语句对时钟信号clk、输入信号d敏感,因此当时钟信号发生变化或是输入信号变化时将会启动进程语句。
  2. 进程语句的启动
  在VHDL中,进程语句有两种工作状态: 等待状态和执行状态。当敏感信号表中的信号没有变化时,进程处于等待状态; 当敏感信号表中的信号有变化时,进程处于执行状态,这时将顺序执行进程中的语句。
  因此不难看出,进程语句的启动主要取决于敏感信号表中的信号,只要敏感信号表中的信号发生了变化,进程将启动。进程启动以后,begin和end process间的语句将从上到下顺序执行一次,当最后一个语句执行完后,就返回进程语句的开始,等待下一次敏感信号表中的信号变化。
  3. 进程语句的同步
  在VHDL程序中,同一个结构体中不仅可以有多个进程存在,而且同一个结构体中的多个进程之间还可以进行同步。一般来说,VHDL中通常采用时钟信号来同步进程,其具体操作方法就是结构体中的几个进程共用同一个时钟信号来进行激励,以启动进程。
  进程的同步一般是由敏感信号表来进行控制的,敏感信号表中的信号不发生变化会暂停进程的执行,直到敏感信号发生变化时才会再次启动进程。程序5.2是一个同步进程的例子。由程序5.2产生的shizhong电路符号如图5.1所示。
  ……

前言/序言

  近年来,FPGA的生产和销售规模以惊人的速度增长。大力发展集成电路设计与制造,是我国制定的重要发展目标,也是经济全球化新形势下的科技挑战。发展集成电路行业,离不开对电子信息类专业人才的培养,尤其是对电子信息类专业人才的创新能力培养。
  如何提高学生的实践创新能力?首先,要使学生对实践感兴趣。在综合性设计实验中,学生能做出来就会有兴趣。其次,增加练习数量,练习多了水平就会提高。最后,根据生产和生活的实际需要制作创新作品。兴趣→提高→创新,不断循环往复,学生的实践创新能力就会不断提高。
  本书通过大量的小型电子系统设计提高学生对实践的兴趣,通过由单元电路实践到电子系统实践的过渡,降低学习的难度,从而起到抛砖引玉的效果。本书通过大量的FPGA设计实例,切实提高学生开发FPGA电子系统的能力。
  本书特色如下:
  (1)易懂、易学、易上手。
  (2)覆盖了模拟电子技术基础、数字电子技术基础、FPGA基本知识以及大量电子系统设计项目案例。
  (3)既给出了硬件设计电路,又提供了程序代码设计(使用VHDL语言和QuartusⅡ软件),便于举一反三。
  (4)书中给出的每个综合电子小系统均已经过设计实现,全部是北方工业大学学生参加全国大学生电子设计竞赛的赛前训练题目,也是电子电路类课程设计的参考题目。
  本书主要由北方工业大学电子信息工程学院王振红编著。另外,张文轩编写了“项目24基于FPGA与nRF24L01的无线数据传输与应用”。张常年、张东彦、宋鹏、曲洪权、王恩成、田青、曹淑琴、黄明、范锦宏、周燕平、冯祎、康晓麓、赵徐森、李云栋、吴晓林、韩宇龙、胜智勇、殷大海等对本书的出版给予了极大的关心和支持。在此,对他们表示衷心的感谢。
  本书配套提供第10章和第11章的电路及源程序,可到清华大学出版社网站的本书页面下载。
  由于编著者自身水平有限,如果书中存在错误和不妥之处,敬请读者批评指正。
  王振红
  2017年4月
  于北方工业大学